Reorder Buffer: An Energy-Efficient Multithreading Architecture for Hardware MIMD Ray Traversal

dc.contributor.authorLee, Won-Jongen_US
dc.contributor.authorShin, Youngsamen_US
dc.contributor.authorHwang, Seok Joongen_US
dc.contributor.authorKang, Seoken_US
dc.contributor.authorYoo, Jeong-Joonen_US
dc.contributor.authorRyu, Soojungen_US
dc.contributor.editorPetrik Clarberg and Elmar Eisemannen_US
dc.date.accessioned2016-01-19T10:32:31Z
dc.date.available2016-01-19T10:32:31Z
dc.date.issued2015en_US
dc.description.abstractIn this paper, we present an energy- and area-efficient multithreading architecture for Multiple Instruction, Multiple Data (MIMD) ray tracing hardware targeted at low-power devices. Recent ray tracing hardware has predominantly adopted an MIMD approach for efficient parallel traversal of incoherent rays, and supports a multithreading scheme to hide latency and to resolve memory divergence. However, the conventional multithreading scheme has problems such as increased memory cost for thread storage and consumption of additional energy for bypassing threads to the pipeline. Consequently, we propose a new multithreading architecture called Reorder Buffer. Reorder Buffer solves these problems by constituting a dynamic reordering of the rays in the input buffer according to the results of cache accesses. Unlike conventional schemes, Reorder Buffer is cost-effective and energy-efficient because it does not need additional thread memory nor does it consume more energy because it makes use of existing resources. Simulation results show that our architecture is a potentially versatile solution for future ray tracing hardware in low-energy devices because it provides as much as 11.7% better cache utilization and is up to 4.7 times more energy-efficient than the conventional architecture.en_US
dc.description.sectionheadersEfficient Ray Tracingen_US
dc.description.seriesinformationHigh-Performance Graphicsen_US
dc.identifier.doi10.1145/2790060.2790064en_US
dc.identifier.isbn978-1-4503-3707-6en_US
dc.identifier.pages21-32en_US
dc.identifier.urihttps://doi.org/10.1145/2790060.2790064en_US
dc.publisherACM Siggraphen_US
dc.subjectray tracingen_US
dc.subjectGPUen_US
dc.subjectmobileen_US
dc.subjectmultithreadingen_US
dc.titleReorder Buffer: An Energy-Efficient Multithreading Architecture for Hardware MIMD Ray Traversalen_US
Files