A Flexible Simulation Framework for Graphics Architectures

dc.contributor.authorSheaffer, J. W.en_US
dc.contributor.authorLuebke, D.en_US
dc.contributor.authorSkadron, K.en_US
dc.contributor.editorTomas Akenine-Moeller and Michael McCoolen_US
dc.date.accessioned2013-10-28T10:02:17Z
dc.date.available2013-10-28T10:02:17Z
dc.date.issued2004en_US
dc.description.abstractIn this paper we describe a multipurpose tool for analysis of the performance characteristics of computer graphics hardware and software. We are developing Qsilver, a highly configurable micro-architectural simulator of the GPU that uses the Chromium system's ability to intercept and redirect an OpenGL stream. The simulator produces an annotated trace of graphics commands using Chromium, then runs the trace through a cycle-timer model to evaluate time-dependent behaviors of the various functional units. We demonstrate the use of Qsilver on a simple hypothetical architecture to analyze performance bottlenecks, to explore new GPU microarchitectures, and to model power and leakage properties. One innovation we explore is the use of dynamic voltage scaling across multiple clock domains to achieve significant energy savings at almost negligible performance cost. Finally, we discuss how other architectural features and experiments might be incorporated into the Qsilver framework.en_US
dc.description.seriesinformationGraphics Hardwareen_US
dc.identifier.isbn3-905673-15-0en_US
dc.identifier.issn1727-3471en_US
dc.identifier.urihttps://doi.org/10.2312/EGGH/EGGH04/085-094en_US
dc.publisherThe Eurographics Associationen_US
dc.subjectI.3.1 [Computer Graphics]en_US
dc.subjectHardware Architectureen_US
dc.titleA Flexible Simulation Framework for Graphics Architecturesen_US
Files
Original bundle
Now showing 1 - 1 of 1
Loading...
Thumbnail Image
Name:
085-094.pdf
Size:
1.76 MB
Format:
Adobe Portable Document Format